Obsah fóra
PravidláRegistrovaťPrihlásenie




Odpovedať na tému [ Príspevkov: 17 ] 
AutorSpráva
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok NapísalOffline : 23.11.2017 18:27

Ahojte, neviem nájsť nikde na internete VHDL kód (opis) pre takýto obvod.. R aj S je negované a je založený na NAND.. Viete mi poradiť? Našou úlohou nie je to naprogramovať, ale nájsť kód a k nemu urobiť "dokumentáciu" Neviem sa ďalej pohnúť. Ďakujem.
VHDL kód pre Rnon Snon preklápací obvod založený na NAND


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 20:59

Tá pravdivostná mi nepríde úplne OK, pretože pri 1 1 je nedefinovaný výstup.
K tomu VHDL čo očakávaš? Pretože tam máš len 2xNAND a žiadny FF, prípadne clk, takže ani process nemôžeš napísať, keďže ide čiste o kombinačnú logiku.







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 21:08

Ten obrázok je z prednášky zo školy. Máme to urobiť podľa toho. Potrebujem ten kod skompilovať a následne spustiť vo Waveform funkcionálnu a časovú simuláciu. Máme si k tomu najsť kod online a ten potom v dokumentácii opísať, čo robí. Nie je to RS obvod ale Rneg Sneg VHDL kód pre Rnon Snon preklápací obvod založený na NAND


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 21:45

Či tam dáš Rneg a Sneg alebo R a S a použiješ NAND miesto NOR je viac menej jedno. Píšeš wavefrom, takže potrebuješ napísať aj TB? VHDL sa nekompiluje.







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 21:56

Poslal som RS NAND a vrátila mi to cvičiaca, že to nie je podľa zadania. Tak neviem..
Poslal som jej toto:
Kód:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity rs_nand is
Port (
      r,s,clk : in  STD_LOGIC;
      q,qb : inout STD_LOGIC
      );
end rs_nand;


architecture rs_nand_arch of rs_nand is

signal temp1,temp2:STD_LOGIC;

component nand21
port(
      a,b: in STD_LOGIC;
      y:out STD_LOGIC
      );
end component;

begin
n1: nand21 port map(a=>r,b=>clk,y=>temp1);
n2: nand21 port map(a=>clk,b=>s,y=>temp2);
n3: nand21 port map(a=>temp1,b=>qb,y=>q);
n4: nand21 port map(a=>q,b=>temp2,y=>qb);

end rs_nand_arch;


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity nand21 is
Port (
         a,b : in  STD_LOGIC;
         y : out  STD_LOGIC
      );
end nand21;

architecture nand_arch of nand21 is
begin
y <= a nand b;
end nand_arch;


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 22:44

To si písal ty, či niekde našiel?
1. máš tam clk, ktorý v schéme nikde nie je
2. máš tam 4x NAND v schéme sú len 2







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 23:11

Ja som iba hladal RS NAND obvod.. no nemôžem nájsť ten negovaný príklad s dvomi hradlami nikde. My sme sa neučili syntax písať, iba ju ''opisovať'' čo sa tam robí, čo je signál, čo je premenná a podobne.


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 23:20

Tamto ti ani nemohlo nájsť ako RS, pretože to čo si navrhol/nakreslil/skopíroval je JK.
Skúsil si si tamto nakresliť?







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 23:22

Stiahol som ho ako RS s tými premennými, ktoré tam sú. Neviem teraz ako ďalej.. kde by som našiel ten druh negovaného RS obvodu.. aby to bolo ok. Vedel by si mi pomôcť, kde to hľadať?


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 23:27

Drobná oprava, nie je to JK, ten má ešte spätnú väzbu, ale RST.
Tak môžeš s len upraviť tamten popis čo máš, tzn. vymažeš 2xNAND.

Kód:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity rs_nand is
Port (
      r,s: in  STD_LOGIC;
      q,qb : inout STD_LOGIC
      );
end rs_nand;


architecture rs_nand_arch of rs_nand is

component nand21
port(
      a,b: in STD_LOGIC;
      y:out STD_LOGIC
      );
end component;

begin
n1: nand21 port map(a=>s,b=>qb,y=>q);
n2: nand21 port map(a=>r,b=>q,y=>qb);

end rs_nand_arch;


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity nand21 is
Port (
         a,b : in  STD_LOGIC;
         y : out  STD_LOGIC
      );
end nand21;

architecture nand_arch of nand21 is
begin
y <= a nand b;
end nand_arch;

V tomto popise predpokladám, že už budú chodiť na vstup Snot a Rnot, inak by bolo nutné použiť ďalší log. obvody (NOT, prípadne NAND so spojenými vstupmi, ...).
Každopádne toto je len VHDL popis daného obvodu a žiadne priebehy z toho nedostaneš priamo, potrebuješ ešte TB.

p.s. trochu mi príde zbytočné dávať nand ako komponentu
Kód:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity rs_nand is
Port (
      r,s: in  STD_LOGIC;
      q,qb : inout STD_LOGIC
      );
end rs_nand;


architecture rs_nand_arch of rs_nand is

begin
q = s NAND qb;
qb = r NAND q;
end rs_nand_arch;







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 23:38

Výsledok:
VHDL kód pre Rnon Snon preklápací obvod založený na NAND
Je zle S a R sú naopak.. podľa tej pravdivostnej tabuľky. Ak je S 1 tak Q=0 a ak je K 1 tak Q=1 a funguje mi to presne opačne, mám ich vymeniť?


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 23:41

Znegovať vstupy.
Každopádne v tom 2. kóde mám "drobnú chybu", myslím, že tamto by nešlo syntetizovať.

Kód:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity rs_nand is
Port (
      r,s: in  STD_LOGIC;
      q,qb : inout STD_LOGIC
      );
end rs_nand;


architecture rs_nand_arch of rs_nand is

signal tmp_q, tmp_qb : STD_LOGIC;

begin
tmp_q = s NAND tmp_qb;
tmp_qb = r NAND tmp_q;

q <= tmp_q;
qb <= tmp_qb;

end rs_nand_arch;







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 23:47

Ten druhý kód nejde syntetizovať + má dve chyby. Neviem ako negovať tie vstupy, viem, že sa to robilo cez not ale neviem na ktorom mieste a ako to použiť
VHDL kód pre Rnon Snon preklápací obvod založený na NAND


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 23.11.2017 23:55

Má tam byť "<=" namiesto "=", dole to mám správne.
Ako píšeš, môžeš použiť NOT, ale tým sa do schémy pridajú 2 komponenty, čo v orig. schéme nie je, takže povedal by som, že už sa očakáva negovaný vstup "z nejakého vonkajšieho obvodu". Ak to chceš robiť priamo tu, môžeš napísať not pred daný signál a ideálne spolu dať to zátvorky, alebo pridať 2 pomocné signály, znegovať S a R a následne pripojiť k NAND-om.







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 23.11.2017 23:59

ide to už dobre, pozrel som si zlý riadok :) Ďakujem ti veľmi pekne za pomoc!


Offline

Užívateľ
Užívateľ
VHDL kód pre Rnon Snon preklápací obvod založený na NAND

Registrovaný: 07.04.12
Prihlásený: 02.06.21
Príspevky: 907
Témy: 54
Príspevok NapísalOffline : 24.11.2017 0:02

Neviem aký SW používate, niektorý vie generovať VHDL kód zo schémy, možno aj to bola prioritná úloha? Nakresliť sch, z nej vygenerovať/prezrieť kód a priebehy.







_________________
Notebook: Dell Inspiron 15-7537= i5-4200U|nVidia GT 750M 2GB|RAM 6GB|250GB Samsung 850 EVO|W8.1|Cooler Master NotePal U3
Pc: Asus Rog Strix B360-G | i7-8700 | MSI 1080 Gaming X | Crucial Ballistix 16GB 2666MHz Dual Ranked | Samsung 970 Evo | Crucial MX500 | WD Red | Fera 3 | Arctic F12 Silent | Seasonic Focus Plus 650W Gold | Fractal Design Mini C TG
Disk: Western Digital Elements 1TB USB 2.0; Seagate Expansion 2TB USB 3.0
Príslušenstvo: A4tech Bloody V3 V-Track Core 3 + A4tech Bloody B-070; A4tech Bloody B120; A4tech Bloody G501; ATH-M40x; MSI Clutch M40
Monitor: Dell U2515H
Mobil: Xiaomi Redmi Note 3 Special Edition
Offline

Užívateľ
Užívateľ
Obrázok užívateľa

Registrovaný: 17.02.14
Prihlásený: 31.03.24
Príspevky: 450
Témy: 198
Bydlisko: Poprad-okolie
Príspevok Napísal autor témyOffline : 24.11.2017 0:06

Máme Quartus verziu 13.0 sp1. Schémy nekreslíme, iba sa učíme, že VHDL je jazyk na opis správania, procesov a podobne. Úloha je iba mať VHD súbor, ktorý ten preklápací obvod reprezentuje.

// Spojený príspevok Pia 24.11.17 21:42

Mal by si k tomu aj Test Bench file? Vyzerá to tak, že v poslednej požiadavke zadania ho treba pre test (RTL simulation)


Odpovedať na tému [ Príspevkov: 17 ] 


Podobné témy

 Témy  Odpovede  Zobrazenia  Posledný príspevok 
V tomto fóre nie sú ďalšie neprečítané témy. VHDL kód pre násobenie dvoch integerov

v Elektronika

3

549

06.01.2018 21:40

ado130 Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. iny kod pre IE

v HTML, XHTML, XML, CSS

3

608

27.09.2009 16:37

Ďuri Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. IDEA pre Ochranny kod

v PHP, ASP

8

618

28.03.2008 21:39

proxy Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. FREE program pre HTML kod

v Ostatné

7

1645

30.11.2008 11:59

Blackshadow Zobrazenie posledných príspevkov

Táto téma je zamknutá, nemôžete posielať nové príspevky alebo odpovedať na staršie. I/K registracny kod pre koss sluchadla

v Kúpim

2

365

11.03.2013 21:50

citronik Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. P: Kód na Unravel pre XBOX ONE

v Predám

0

312

24.08.2016 10:04

nicolai Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. oscilačný obvod

v Elektronika

0

372

04.01.2013 10:03

vašo Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. I/P: Kod na PUBG pre xbox one

v Predám

0

263

10.07.2018 13:16

Renegate Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. NAND

v Ostatné zariadenia

3

1052

27.02.2007 20:12

OmeGa Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Jednoduchy obvod - plosny spoj

v Ponuka práce

2

591

06.02.2016 19:54

Lessik Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Elektrický obvod s dvoma zdrojmi

v Elektronika

11

1594

02.06.2014 23:49

killer Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Schéma: Obvod zapalovania do auta

v Elektronika

0

1889

23.01.2007 5:09

Elektronic Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Co je to za obvod? /Oprava regulátoru

v Elektronika

0

1202

08.06.2006 13:18

XPM Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Hladam mod... (založený: bla bla)

v Redakčné systémy

12

733

05.03.2007 17:38

Numline1 Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Schéma: Obvod s prednastavenými korekciami a 3D zvukom

v Elektronika

1

1321

09.02.2009 2:06

kukinko Zobrazenie posledných príspevkov

V tomto fóre nie sú ďalšie neprečítané témy. Problém s prevodom AND na NAND

v Ostatné

2

337

25.10.2014 16:30

EmeriX Zobrazenie posledných príspevkov


Nemôžete zakladať nové témy v tomto fóre
Nemôžete odpovedať na témy v tomto fóre
Nemôžete upravovať svoje príspevky v tomto fóre
Nemôžete mazať svoje príspevky v tomto fóre

Skočiť na:  

Powered by phpBB Jarvis © 2005 - 2024 PCforum, webhosting by WebSupport, secured by GeoTrust, edited by JanoF
Ako väčšina webových stránok aj my používame cookies. Zotrvaním na webovej stránke súhlasíte, že ich môžeme používať.
Všeobecné podmienky, spracovanie osobných údajov a pravidlá fóra